Svoboda | Graniru | BBC Russia | Golosameriki | Facebook

Intel 3 Process Node Detailed: 18% More Performance At Same Power, 10% Higher Density, Shipping With Xeon 6 CPUs Now

Hassan Mujtaba

Intel has detailed its next-gen Intel 3 process node which brings a solid 18% performance uplift over Intel 4 along with density improvements.

Intel 3 Adds An 18% Performance Uplift At the Same Power While Improving Transistor Density By 10%

The Intel 3 node is crucial for Chipzilla as it races towards its goal of delivering five nodes in four years. The Intel 3 node marks the mid-step in this journey with the Intel 7 and Intel 4 nodes already shipping in various products in the market and Intel 3 coming to customers with the Xeon 6700E "Sierra Forest" lineup which was launched at Computex 2024.

Related Story Intel CPU Market Share Drops Down Drastically In Korean DIY Market, AMD’s Ryzen CPUs Fill In The Gap

Some of the big advantages that the Intel 3 process node brings to the table are denser design libraries, increased transistor drive current, and increased use of EUV. The node also comes in three variations including 3-T, 3-E, and 3-PT. The first two variations have the same +18% performance per watt improvement over Intel 4 while PT brings added performance and is easy to use. All four node variations feature support for 240nm high-performance and 210nm high-density libraries.

They also have a range of specific features such as Through-Si Via on 3/3-T, 1.2V native and Deep N-well support for 3-E & 9um pitch TSV, and hybrid bonding support on 3-PT which extends upon 3-E. The 3/3-T node will be serving the server, client, and base-die applications while 3-E targets the chipset and storage market. Lastly, 3-PT will serve the AI/HPC applications and general compute products.

Press Release: At Intel Foundry, we are dedicated to harnessing innovative technologies to extend Moore’s Law and put greater capabilities into the hands of customers for exciting new applications. 

For decades, we have led the industry with transistor technologies at key inflection points, including the introduction of strained silicon in 2005, the high-k and metal gate stack in 2009, and bringing the transistor into the third dimension with the FinFET architecture in 2011. Today, we continue our legacy of pioneering major new transistor innovations that will shape future areas such as AI and supercomputers.

Now, we are thrilled to share details of the Intel 3 process node, which is our ultimate FinFET-based node, at the annual VLSI Symposium.

The base Intel 3 process node delivers up to 18% better performance at the same power for an entire processor core, a flexible set of metal interconnect options, and up to 10% greater density than the previous generation Intel 4 node (1).

This represents an entire generation of performance improvement – a tremendous advance in just one year. We achieved this through careful optimizations in nearly every aspect of the process, from the transistor to the metal stack. The modest density gains come from a new set of high-density standard cell libraries that we developed.

intel-3-process-node-detailed-_6
intel-3-process-node-detailed-_7
intel-3-process-node-detailed-_8
intel-3-process-node-detailed-_9

In 2021, Intel set out to regain process technology leadership and laid out our five nodes in a four-year journey (5N4Y) with a series of aggressive milestones. The 5N4Y roadmap focuses on regaining technical leadership and demonstrating consistent execution through careful and measured risk-taking. It is also about propelling the entire industry forward – as we transform the company to offer the breadth of our design, packaging, and manufacturing capabilities to foundry customers.

The Intel 3 node brings us closer to the finish line on our 5N4Y journey and builds on our prior successes to deliver consistent execution. With the prior Intel 4 node, we introduced EUV lithography, a complex technology that impacts many different aspects of the process, from the transistor front end to the vias and metal interconnects in the back end. The Intel 4 node is used in the Intel Core Ultra processor family, which ushered in the AI PC era and has shipped more than nine million units.

intel-3-process-node-detailed-_10
intel-3-process-node-detailed-_11
intel-3-process-node-detailed-_12

As planned, the Intel 3 node reached its manufacturing readiness milestone at the end of last year. Further demonstrating Intel process technology is back on track, this node reached high-volume production at our R&D site in Oregon and is now also producing chips in high volume in our Leixlip, Ireland fab for foundry customers, including server processors in the Intel Xeon 6 platform.

The Intel 3 process node includes four variants that were architected and incrementally co-developed to reduce risk and enable consistent execution. These variants include:

  • The Intel 3-T process node builds on the base process and offers through-silicon vias (TSVs) for 3D-stacking applications such as image processing, high-performance computing, and AI where multiple compute and memory components need to be integrated in a single package.
  • The Intel 3-E node adds a rich set of I/O for external interfaces, analog, and mixed-signal features adding breadth to the family.
  • Lastly, the Intel 3-PT node combines all these advances into a single process and then adds even more performance enhancements alongside superior ease of use for designers, while including support for finer-pitch 9um TSVs and hybrid bonding options for even higher-density 3D-stacking. We believe that the Intel 3-PT node delivers a unique combination of performance, flexibility, and cost for a wide variety of applications. As the ultimate FinFET-based process node, it will be a mainstay and employed alongside new technologies for many years to come - for both internal and external foundry customers.

The Intel 3 node is also Intel Foundry’s first leading-edge process node, designed to serve as a long-lasting node for foundry customers, with a continual progression of technology features and performance enhancements to serve a wide array of design and product applications.

In summary, Intel 3 technology provides the ultimate family of FinFET process nodes and delivers a full generation of performance and 10% better density than the Intel 4 node. The Intel 3 node reached manufacturing readiness in the fourth quarter of 2023 and is now in high-volume manufacturing for the Intel Xeon 6 processor family. We are delivering on our promise of consistent execution against our 5N4Y plan and paving the way for our transition to RibbonFET and the Angstrom era with the Intel 20A and Intel 18A process nodes being introduced over the coming year.

Share this story

Comments